Skip to Main

弘前大学 理工学部 電子情報工学科/弘前大学大学院 理工学研究科 電子情報工学コース

論文PAPER

論文誌・国際会議・招待講演・特別講演・研究報告 (過去10年間)

2024年

  • Ryota Sato, Masashi Imai, "Development of Tsugaru Dialect Dictionary Management System," Proc. SASIMI2024, pp.254-259, Mar., 2024
  • Akimasa Saito, Masashi Imai, "Evaluation of FPGA Performance in a Cryogenic Environment," Proc. SASIMI2024, pp.244-249, Mar., 2024
  • Seria Kasai, Yamato Ishida, Fumiya Sano, Tomoya Akasaka, Masami Fukushima, Koichi Kitagishi, Seijin Nakayama, Hideki Ishihara, Masashi Imai, Atsushi Kurokawa, Toshiki Kanamoto, "Energy Reduction of Health Monitoring Processor by Optimizing Supply and Back-Gate Voltages with Simulated Annealing," Proc. SASIMI2024, pp.227-232, Mar., 2024
  • Atsushi Onodera, Masashi Imai, "Development of Snowfall Prediction System using X-band Weather Radar and Artificial Intelligence," Proc. SASIMI2024, pp.84-85, Mar., 2024

2023年

  • Tsujiguchi T, Imai M, Kimura S, Koiwa T, Naraoka M, Hanada H, Yamanouchi K, Kashiwakura I, Ito K, "Development of an Automatic Chronological Record Creation System Using Voice AI to Facilitate Information Aggregation and Sharing in the Event of a Disaster," Disaster medicine and public health preparedness, Vol.17, e560, Dec., 2023
  • 今井雅, "同期式回路設計支援環境におけるMuller’sC-element の実装に関する一考察," 電子情報通信学会技術研究報告 VLD2023-79, pp.255-260, Nov., 2023
  • 斉藤旭晟, 今井雅, "極低温環境におけるFPGAの性能評価," 電子情報通信学会技術研究報告 VLD2023-23, pp.113-118, Jul., 2023
  • 今井雅, "非同期式回路を用いた電源喪失対応 VLSI システムの実現," 電子情報通信学会技術研究報告 VLD2022-86, HWS2022-57, pp.79-84, Mar., 2023
  • 新井田大輝, 今井雅, "Transformerを用いた津軽弁と共通語の双方向翻訳システムの構築," 情報処理学会東北支部研究報告, Vol.2022-7, No.4-4, Feb., 2023
  • 葛西巧朗, 今井雅, "外れ値検知アルゴリズムによるハードウェアトロイ内包計算機に生じる誤りの検知," 情報処理学会東北支部研究報告, Vol.2022-7, No.4-5, Feb., 2023
  • 坂本昴, 今井雅, "低電圧環境に適した回路設計方式の比較," 情報処理学会東北支部研究報告, Vol.2022-7, No.4-6, Feb., 2023

2022年

  • 葛西巧朗, 今井雅, "ハードウェアトロイの挿入された計算機が齎す誤りの検知と対策," 電子情報通信学会技術研究報告 VLD2022-55, pp.206-211, Nov., 2022
  • 今井雅, 吉瀬謙二, 米田友洋, "FPGA-SoMを用いたASIC試作チップ評価システムの構築," 電子情報通信学会技術研究報告 VLD2022-19, pp.1-6, Nov., 2022
  • Taiki Niida, Masashi Imai, "Development of Text Translation System from Tsugaru Dialect into Common Japanese," Proc. SASIMI2022, pp.163-167, Oct., 2022
  • Takuro Kasai, Masashi Imai, "Development of Diagnosis-based Hardware Trojan Tolerate System," Proc. SASIMI2022, pp.196-197, Oct., 2022
  • 岡巧, 葛西瀬梨亜, 石田大和, 佐野文也, 今井雅, 金本俊幾, "パターン密度均一化に貢献するオンチップデカップリング容量セルの提案," DAシンポジウム2022, pp.207-211, Sep., 2022
  • 新井田大輝, 今井雅, "津軽弁から共通語への変換システムの構築," DAシンポジウム2022, pp.195-200, Sep., 2022
  • 坂本昴, 今井雅, "低電圧環境における同期式回路と非同期式回路の比較," DAシンポジウム2022, pp.126-131, Sep., 2022
  • 葛西瀬梨亜, 畠山寛, 今井雅, 黒川敦, 金本俊幾, "低消費エネルギープロセッサのSoC物理設計," 情報処理学会東北支部研究報告, Vol.2021-6, No.5-3, Feb., 2022.

2021年

  • Toshiki Kanamoto, Kan Hatakeyama, Seria Kasai, Masashi Imai, Atsushi Kurokawa, Masami Fukushima, Koichi Kitagishi, Seijin Nakayama, Hideki Ishihara, "An Energy Efficient Processor Applicable to Continuous SPO2 Monitoring," Proc. of GCCE, pp.1-2, Oct., 2021.
  • 今井雅, "あなたの津軽弁を共通語に 〜弘大×AI×津軽弁の取り組み〜" 日本放射線看護学会第10回学術集会, Sep., 2021
  • 今井雅, "あなたの津軽弁、活用します 〜弘大×AI×津軽弁プロジェクト〜" 日本実験力学会, Aug., 2021
  • Mio Maeda, Akiyo Yatagai, Masashi Imai, "Prediction of Winter Precipitation from X-band Weather Radar Observations Using Deep Learning," Proc. AOGS2021, Aug., 2021
  • Masashi Imai, "Novel Circuit Structure of Basic Standard Cells against Glitches," Proc. ASYNC2021 Fresh idea track paper, Sep., 2021.
  • Kan Hatakeyama, Masami Fukushima, Koichi Kitagishi, Seijin Nakayama, Hideki Ishihara, Masashi Imai, Atsushi Kurokawa, and Toshiki Kanamoto, "Energy efficient RISC-V processor for portable sensor applications," Proc. SASIMI2021, Mar., 2021.
  • Kodai Matsuhashi, Koutaro Hachiya, Toshiki Kanamoto, Masashi Imai, and Atsushi Kurokawa, "Thermal design technology for non-low power hearables," Proc. SASIMI2021, Mar., 2021.
  • 今井雅, 杉山祐子, 松﨑正敏, 木立るり子, 井瀧千恵子, 新岡丈典, 辻口貴清, 柏倉幾郎, "人工知能による津軽弁の活用と津軽弁文化保存の取り組み," 情報処理学会東北支部研究報告, Vol.2020-6, No.3-1, pp.1-6, Feb., 2021.
  • 新井田大輝, 谷田貝亜紀代, 今井雅, "ひろだい白神レーダー及び深層学習を利用した降雪量推定," 情報処理学会東北支部研究報告, Vol.2020-6, No.3-2, pp.1-6, Feb., 2021.
  • 有馬大生, 宗形恒夫, 黒川敦, 今井雅, 金本俊幾, "データシートを用いたトレンチ型IGBTの素子構造推定," 情報処理学会東北支部研究報告, Vol.2020-6, No.1-1, Feb., 2021.
  • 有馬諒, 梶谷翔太, 伊澤敬太, 渡邊良祐, 青葉智寛, 黒川敦, 今井雅, 金本俊幾, "耐パルス性NiCr薄膜抵抗器のトリミング形状に対する熱的最適化," 情報処理学会東北支部研究報告, Vol.2020-6, No.1-2, Feb., 2021.
  • 及川大樹, 野村哲哉, 丹波澄雄, 黒川敦, 今井雅, 金本俊幾, "TOFカメラを用いた魚体重推定," 情報処理学会東北支部研究報告, Vol.2020-6, No.1-3, Feb., 2021.
  • 岡巧, 今井雅, 黒川敦, 金本俊幾, "LSI・パッケージ・ボード協調設計に向けたオンチップインピーダンス抽出," 情報処理学会東北支部研究報告, Vol.2020-6, No.1-4, Feb., 2021.
  • 松橋功大, 今井雅, 金本俊幾, 黒川敦, "ヒアラブルデバイスの熱回路モデルと解析," 情報処理学会東北支部研究報告, Vol.2020-6, No.2-1, Feb., 2021.

2020年

  • 谷田貝亜紀代, 今井雅, 前田未央, "機械学習を用いたひろだい白神レーダによる冬季降雪量推定," 日本気象学会令和2年度東北地方調査研究会, Dec., 2020
  • Masashi Imai, "Asynchronous Building Blocks and Their Application for Ultra Low Energy Devices," Proc. NOLTA2020, Nov., 2020
  • Toshiki Kanamoto, Koki Kasai, Kan Hatakeyama, Atsushi Kurokawa, Tomoyuki Nagase, and Masashi Imai, "A simple yet precise capacitance estimation method for on-chip power delivery network towards EMC analysis," IEICE Electronics Express, Article ID 17.20200198, [Advance publication] Released June 30, 2020
  • Tomohiro Yoneda, Masashi Imai, “Coarse Grained Versus Fine Grained Architectures for Asynchronous Reconfigurable Devices,” Proc.ASYNC2020, pp.102-110, May, 2020
  • 畑瀬拓実, 黒川敦, 今井雅, 金本俊幾, "メンテナンスフリーセンサノード実現に向けた画像分析と消費電力低減の検討," 情報処理学会東北支部研究報告, Vol.2019-6, No.2-3, Feb., 2020
  • 畠山寛, 丹波澄雄, 黒川敦, 今井雅, 金本俊幾, "LPWA通信規格LoRaを用いたセンサノードの低消費電力通信の評価," 情報処理学会東北支部研究報告, Vol.2019-6, No.2-2, Feb., 2020
  • 伊藤颯汰, 宗形恒夫, 黒川敦, 今井雅, 金本俊幾, "パワーモジュールの熱応力連成解析に向けたIGBT等価回路モデルに関する検討," 情報処理学会東北支部研究報告, Vol.2019-6, No.2-1, Feb., 2020
  • 葛西孝己, 今井雅, 黒川敦, 金本俊幾, "シミュレーションベースオンチップ電源容量抽出手法," 情報処理学会東北支部研究報告, Vol.2019-6, No.1-1, Feb., 2020

2019年

  • Kyota Akimoto, Toshiki Kanamoto, Atsushi Kurokawa, Masashi Imai, "Quantitative Performance Comparison of Asynchronous and Synchronous Comparators," Proc. SASIMI2019, pp.296-297, Oct., 2019
  • Koki Kasai, Atsushi Kurokawa, Masashi Imai, Toshiki Kanamoto, "Efficiency Investigation of Capacitors Mounted on Re-distribution Layers for FOWLP," Proc. SASIMI2019, pp.176-179, Oct., 2019
  • Kodai Matsuhashi, Koutaro Hachiya, Toshiki Kanamoto, Masashi Imai, Atsushi Kurokawa, "Thermal Modeling and Simulation of a Smart Wrist-worn Wearable Device," Proc. SASIMI2019, pp.138-143, Oct., 2019
  • Toshiki Kanamoto, Masami Fukushima, Koichi Kitagishi, Seijin Nakayama, Hideki Ishihara, Koki Kasai, Atsushi Kurokawa, Masashi Imai, "A Single-Stage RISC-V Processor to Mitigate the Von Neumann Bottleneck," Proc. MWSCAS2019, pp.1085-1088, Aug., 2019
  • Koutaro Inaba, Tomohiro Yoneda, Toshiki Kanamoto, Atsushi Kurokawa and Masashi Imai, "Hardware Trojan Insertion and Detection in Asynchronous Circuits," Proc. ASYNC2019, pp.134-143, May, 2019
  • Ryosuke Kasai, Koutaro Hachiya, Toshiki Kanamoto, Masashi Imai, and Atsushi Kurokawa, "Neural network-based 3D IC interconnect capacitance extraction," Proc. ICCET2019, pp.168-172, Apr., 2019
  • 岡本慎太郎, 松橋功大, 今井雅, 金本俊幾, 黒川敦, "リストウェアラブルデバイスのベルト放熱効果," 電気学会 全国大会 講演論文集, p.32, Mar., 2019
  • 谷田貝亜紀代, 今井雅, 前田未央, 石田祐宣, "APHRODITEデータのDB化による品質管理およびweb上でのデータ公開について," 情報処理学会東北支部研究報告, Vol.2018-9, No.A2-3, Feb., 2019
  • 赤坂親一郎, 金本俊幾, 黒川敦, 今井雅, "遅延ばらつきを考慮した遅延線設計による束データ方式非同期式回路の高性能化," 情報処理学会東北支部研究報告, Vol.2018-9, No.B3-1, Feb., 2019
  • 和島純也, 金本俊幾, 黒川敦, 今井雅, "ハードウェアトロイ無効化のための多重化システムの実装," 情報処理学会東北支部研究報告, Vol.2018-9, No.B3-2, Feb., 2019
  • 稲葉光太郎, 金本俊幾, 黒川敦, 今井雅, "束データ方式非同期式回路におけるハードウェアトロイ検出手法の評価," 情報処理学会東北支部研究報告, Vol.2018-9, No.B3-3, Feb., 2019

2018年

  • 稲葉光太郎, 金本俊幾, 黒川敦, 今井雅, "束データ方式非同期式回路におけるハードウェアトロイ攻撃と対策," 電子情報通信学会ハードウェアセキュリティフォーラム 2018, Poster Session, Dec., 2018
  • N. Onizawa, M. Imai, T. Yoneda, T. Hanyu, "MTJ-based Asynchronous Circuits for Re-initialization Free Computing Against Power Failures," Microelectronics Journal 82, pp.46-61, 2018 https://doi.org/10.1016/j.mejo.2018.10.012
  • 赤坂親一郎, 金本俊幾, 黒川敦, 今井雅, "2phaseハンドシェイクプロトコルに基づく束データ方式非同期式回路のレプリカ遅延線設計," Proc. DAシンポジウム2018, pp.93-98, Aug., 2018
  • 鈴木隆士, 海野和貴, 眞鍋雄太, 秋元恭太, 赤坂親一郎, 稲葉光太郎, 和島純也, 今井雅, "SATソルバによるADC解法研究のすすめ," DAS2018アルゴリズムデザインコンテスト, Aug., 2018
  • 今井雅, 七尾健, 石川雄大,稲葉光太郎, "耐ビザンチンフォールトシステムの効率的な実装方式とデモ環境の構築," 電子情報通信学会技術研究報告 DC2018-15 (SWOPP2018), pp.13-18, Jul., 2018
  • Masashi Imai, Shinichiro Akasaka, Tomohiro Yoneda, "Novel Delay Elements for Bundled-Data Transfer Circuits Based on Two-Phase Handshaking Protocols," Proc. ASYNC2018, pp.1-8, May, 2018 (Wien)
  • Yuuta Satomi Koutaro Hachiya, Masashi Imai, Toshiki Kanamoto, Kaoru Furumi, Atsushi Kurokawa, "Power Delivery Network Optimization of 3D ICs Using Multi-Objective Genetic Algorithm," Proc. SASIMI2018, pp.145-148, Mar., 2018
  • Masashi Imai, Naoya Onizawa, Takahiro Hanyu, Tomohiro Yoneda, "Minimum Power Supply Asynchronous Circuits for Re-initialization Free Computing," Proc. SASIMI2018, pp.283-288, Mar., 2018
  • Kaoru Furumi, Shintaro Okamoto, Toshiki Kanamoto, Masashi Imai, Atsushi Kurokawa, "Impact of Distributing 3D Stacked ICs on Maximum Temperature Reduction," Proc. SASIMI2018, pp.394-397, Mar., 2018
  • 葛西孝己, 神藤始, 陳俊, 橋本昌宜, 今井雅, 黒川敦, 金本俊幾, "容量素子最適化のための LSI・パッケージ・ボード電源網解析モデルの構築," 情報処理学会東北支部研究報告 Vol.2017-6 No.B1-1, Feb., 2018
  • 太田拓磨, 宗形恒夫, 今井雅, 黒川敦, 金本俊幾, "PowerMOS デバイス熱設計のためのボンディングワイヤモデルの構築," 情報処理学会東北支部研究報告 Vol.2017-6 No.B1-2, Feb., 2018
  • 成田全, 葛西孝己, 今井雅, 黒川敦, 金本俊幾, "CMOS回路における消費エネルギー低減のための電源電圧と閾値電圧の調節手法," 情報処理学会東北支部研究報告 Vol.2017-6 No.B1-3, Feb., 2018
  • 江良祥耶, 葛西孝己, 今井雅, 黒川敦, 金本俊幾, "FPGA 信号伝搬遅延の温度依存性に関する研究," 情報処理学会東北支部研究報告 Vol.2017-6 No.B1-4, Feb., 2018
  • 豊嶋太樹, 金本俊幾, 黒川敦, 今井雅, "ランダム遅延素子を用いた耐タンパ非同期式回路の設計," 情報処理学会東北支部研究報告 Vol.2017-6 No.B3-1, Feb., 2018
  • 七尾健, 石川雄大, 金本俊幾, 黒川敦, 今井雅, "ビザンチンフォールトトレラントシステムの構築と実用性評価," 情報処理学会東北支部研究報告 Vol.2017-6 No.B3-2, Feb., 2018

2017年

  • 金本俊幾, 葛西孝己, 今井雅, 黒川敦, 橋本昌宜, 陈俊, 神藤始, "容量配置最適化に向けた15nm世代LSI・パッケージ・ボード電源網解析モデルの構築," DAシンポジウム2017論文集, pp.111-114, Aug., 2017
  • 葛西孝己, 今井雅, 黒川敦, 金本俊幾, 陈俊, 橋本昌宜, 神藤始, "容量素子最適化のためのLSI・パッケージ・ボード電源網解析モデルの構築," 平成29年度電気関係学会東北支部連合大会, 2E09, Aug., 2017
  • 太田拓磨, 葛西孝己, 今井雅, 黒川敦, 金本俊幾, 宗形恒夫, "PowerMOSデバイス熱設計のためのボンディングワイヤモデルの構築," 平成29年度電気関係学会東北支部連合大会, 2E03, Aug., 2017
  • Ryo Sasaki, Toshiki Kanamoto, Masashi Imai, Kaoru Furumi, Atsushi Kurokawa, "Estimating Walking State When Holding Object in Hand by Using Neural Network," Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session), p.2B19, Aug., 2017
  • 豊嶋太樹, 金本俊幾, 黒川敦, 今井雅, "ランダム遅延素子を用いた非同期式回路の耐タンパ性向上に関する一考察," 平成29年度電気関係学会東北支部連合大会, 1G04, Aug., 2017
  • Shintaro Okamoto, Kaoru Furumi, Masashi Imai, Toshiki Kanamoto, Atsushi Kurokawa, "Method for Mitigating Heat of 3D Stacked Memory for Small Electronic Devices," Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session), p.1B17, Aug., 2017
  • Yuta Satomi, Masashi Imai, Toshiki Kanamoto, Kaoru Furumi, Atsushi Kurokawa, "Optimizing Power Distribution Network Using Multi-Objective Genetic Algorithm," Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session), p.1B16, Aug., 2017
  • Kaoru Furumi, Shintaro Okamoto, Toshiki Kanamoto, Masashi Imai, Atsushi Kurokawa, "Reducing Temperature by Relocating 3D IC Structures," Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session), p.1B15, Aug., 2017
  • Shinichiro Akasaka, Toshiki Kanamoto, Atsushi Kurokawa, Masashi Imai, "A Study on Replica Delay Circuit of Bundled-Data Transfer Asynchronous Circuits," Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session), p.1B10, Aug., 2017
  • Koutaro Inaba, Toshiki Kanamoto, Atsushi Kurokawa, Masashi Imai, "Hardware Trojan Comparison between Synchronous and Asynchronous Circuits," Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session), p.1B08, Aug., 2017
  • Ryosuke Hatsuta, Masashi Imai, Toshiki Kanamoto, Shintaro Okamoto, Atsushi Kurokawa, "Thermal-Aware Tile-Based Block Placement for 3D ICs," Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session), p.1B07, Aug., 2017
  • Seira Kamiie, Toshiki Kanamoto, Masashi Imai, Shintaro Okamoto, Atsushi Kurokawa, "Modeling and Analysis for Predicting Clock Skew of Stacked Chips," Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session), p.1B06, Aug., 2017
  • 七尾健, 石川雄大, 今井雅, "耐ビザンチンフォールトシステムの実装方式に関する一考察," 電子情報通信学会技術研究報告 DC2017-17 (SWOPP2017), pp.7-12, Jul., 2017
  • H. Saito, M. Imai, T. Yoneda, "Task Scheduling based Redundant Task Allocation Method for the Multi-core Systems with the DTTR Scheme," IEICE Trans. Fundamentals, E100-A, (7), pp.1363-1773, Jul., 2017
  • 稲葉光太郎, 今井雅, "非同期式オンチップネットワークルータに対するハードウェアトロイ挿入," 電子情報通信学会ハードウェアセキュリティ研究会, Jun., 2017
  • Naoya Onizawa, Masashi Imai, Takahiro Hanyu, Tomohiro Yoneda, "MTJ-Based Asynchronous Circuits for Re-initialization Free Computing against Power Failures," Proc. ASYNC2017, pp.118-125, May, 2017
  • Koutaro Inaba, Tomohiro Yoneda, Masashi Imai, "A Study on Hardware Trojan Insertion into Asynchronous NoC Router," Proc. Async2017 Fresh ideas track paper, May, 2017
  • 稲葉光太郎, 金本俊幾, 黒川敦, 今井雅, "非同期式NoCルータへのハードウェアトロイ挿入に関する研究," 電子情報通信学会総合大会 A-7-1, pp.82, Mar., 2017
  • 和島純也, 金本俊幾, 黒川敦, 今井雅, "マルチコアシステムのマルコフモデルによる信頼性評価," 電子情報通信学会総合大会 D-10-3, pp.137, Mar., 2017
  • Kaoru Furumi, Masashi Imai, Atsushi Kurokawa, "Cooling Architectures using Thermal Sidewalls, Interchip Plates, and Bottom Plate for 3D ICs," Proc. ISQED2017, Mar., 2017
  • 田近龍平, 金本俊幾, 今井雅, "低電圧環境における高性能非同期式回路の実現," 情報処理学会東北支部研究報告 Vol.2016-6 No.B1-4, Feb., 2017
  • 石川達也, 金本俊幾, 今井雅, "ハンドシェイク回路多重化による低ノイズ非同期式回路の実現," 情報処理学会東北支部研究報告 Vol.2016-6 No.B1-3, Feb., 2017
  • 増川孔明, 今井雅, 金本俊幾, "多段リングオシレータによるFPGA性能ばらつきの実験的評価," 情報処理学会東北支部研究報告 Vol.2016-6 No.B1-2, Feb., 2017
  • 瀧健太郎, 今井雅, 金本俊幾, "極低電圧回路における消費エネルギー極小化に関する一考察," 情報処理学会東北支部研究報告 Vol.2016-6 No.B1-1, Feb., 2017

2016年

  • 稲葉光太郎, 今井雅, "非同期式回路に対するハードウェアトロイ挿入に関する一考察," ハードウェアセキュリティフォーラム2016 ポスター発表, Dec., 2016
  • Daiki Toyoshima, Tatsuya Ishikawa, Atsushi Kurokawa, Masashi Imai, "Random Delay Elements for Tamper Resistant Asynchronous Circuits based on 2-phase Handshaking Protocol," Proc. SASIMI2016, pp.113-118, Oct., 2016
  • Masashi Imai, Tomohiro Yoneda, "Hardware Trojan Insertion Difficulties into Synchronous and Asynchronous Circuits," Proc. SASIMI2016, pp.213-218, Oct., 2016
  • Masashi Imai, Thiem Van Chu, Kenji Kise, Tomohiro Yoneda, "The Synchronous vs. Asynchronous NoC Routers: An Apple-to-Apple Comparison between Synchronous and Transition Signaling Asynchronous Designs," Proc. NOCS2016, pp.64-69, Sep., 2016
  • Takeru Nanao, Atsushi Kurokawa, Masashi Imai, "A Study on Byzantine Fault Tolerant Systems using SCore Cluster System Software," 平成28年度電気関係学会東北支部連合大会, IEEE Student Session, 2A07, Aug., 2016
  • 寺山恭平, 今井雅, "ラッチベース非同期式回路のスキャンテスト," 電子情報通信学会論文誌 A Vol.J99-A, No.8, pp.298-308, Aug., 2016
  • Kaoru Furumi, Shintaro Mizoguchi, Nanako Niioka, Masashi Imai, Atsushi Kurokawa, "Recognition of Wrist Position While Walking by Using Wearable Triaxial Accelerometers," Proc. ITC-CSCC2016, pp.97-100, Jul., 2016
  • 新岡七奈子, 古見薫, 今井雅, 黒川敦, "マルチソースバッファを用いた積層チップのクロック分配方法," 電子情報通信学会 技術研究報告 VLD2016-37, pp.167-172, Jun., 2016
  • 古見薫, 今井雅, 新岡七奈子, 黒川敦, "三次元集積回路の熱解析," 電子情報通信学会 技術研究報告 VLD2016-38, pp.173-178, Jun., 2016
  • 今井雅, 米田友洋, "多数決イネーブルラッチを用いた非同期式回路の耐故障性に関する一検討," 電子情報通信学会 技術研究報告 VLD2016-39, pp.179-184, Jun., 2016
  • 豊嶋太樹, 黒川敦, 今井雅, "ランダム遅延素子を用いた耐タンパ非同期式パイプライン回路," 電子情報通信学会 技術研究報告 VLD2016-40, pp.185-190, Jun., 2016
  • Hiroshi Saito, Masashi Imai, Tomohiro Yoneda, "A Task Allocation Method for the DTTR Scheme based on Task Scheduling of Fault Patterns," Proc. ISCAS2016, pp.237-240, May, 2016
  • Tomohiro Yoneda, Naoya Onizawa, Masashi Imai, Takahiro Hanyu, "Power-Gated Single-Track Asynchronous Circuits Using Three-Terminal MTJ-Based Nonvolatile Devices for Energy Harvesting Systems," Proc. Async2016 Fresh ideas track paper, May, 2016
  • Masashi Imai, Tomohiro Yoneda, "Can Asynchronous Circuits Tolerate Hardware Trojan Threat?," Proc. Async2016 Fresh ideas track paper, May, 2016
  • 溝口真太郎, 深瀬政秋, 今井雅, 古見薫, 新岡七奈子, 黒川敦, "ウェアラブルセンサを用いた歩行時の腕の状態認識," 情報処理学会第78回全国大会, 1V-01, Mar., 2016
  • 齋藤寛, 今井雅, 米田友洋, "DTTR方式によるマルチコアシステム向けのタスクの最大並列度を基にしたタスク割り当て手法," 電子情報通信学会技術研究報告 VLD2015-113, No.465, pp.13-18, Feb., 2016
  • 佐藤謙介, 今井雅, "ディペンダブル・ネットワーク・オンチッププラットフォームの開発に関する研究," 情報処理学会東北支部研究報告 Vol.2015-8 No.B1-1, Feb., 2016
  • 寺山恭平, 今井雅, "ラッチベース非同期式回路のテストに関する研究," 情報処理学会東北支部研究報告 Vol.2015-8 No.B1-2, Feb., 2016

2015年

  • 田近龍平, 黒川敦, 今井雅, "QDIモデルに基づく非同期式VLSIの低電圧特性の評価," 電子情報通信学会 技術研究報告 VLD2015-67,DC2015-63, p.189-194, Dec., 2015
  • 石川達也, 黒川敦, 今井雅, "非同期式回路を用いたピーク電流抑制型バンドパスフィルタの実装と評価," 電子情報通信学会 技術研究報告 VLD2015-68,DC2015-64, p.195-200, Dec., 2015
  • 齋藤寛, 米田友洋, 今井雅, "DTTR方式によるマルチコアシステムの信頼性向上のためのタスク割り当て手法の検討," 情報処理学会研究報告 Vol.2015-SLDM-172 No.12, pp.63-68, Oct., 2015
  • 佐藤謙介, 齋藤寛, 米田友洋, 今井雅, "DTTR方式による高信頼マルチコアシステムの性能評価に関する一考察," 情報処理学会研究報告 Vol.2015-SLDM-172 No.11, pp.57-62, Oct., 2015
  • Tomohiro Yoneda, Masashi Imai, "A New Encoding Mechanism for Low Power Inter-Chip Serial Communication in Asynchronous Circuits," Proc. ICCD2015 Poster session, pp.424-427, Oct., 2015
  • Tomohiro Yoneda, Masashi Imai, Hiroshi Saito, Kenji Kise, "Dependable Real-Time Task Execution Scheme for a Many-Core Platform," Proc. DFTS2015, pp.198-205, Oct., 2015
  • Nanako Niioka, Masashi Imai, Masa-Aki Fukase, Yuuki Miura, Kaoru Furumi, Atsushi Kurokawa, "Clock Skew Reduction for Stacked Chips Using Multiple Source Buffers," Proc. ISCIT2015, Oct., 2015
  • Masashi Imai, Tomohiro Yoneda, "Comparing Permanent and Transient Fault Tolerance of Multiple-core based Dependable ECUs," Proc. CARS2015, Sep., 2015
  • Ryuhei Tachika, Atsushi Kurokawa, Masashi Imai, "Performance Comparison between Asynchronous Self-timed Circuits and Synchronous Circuits under Ultra Low Voltage Environment," 平成27年度電気関係学会東北支部連合大会, IEEE Student Session, 1A08, Aug., 2015
  • Tatsuya Ishikawa, Atsushi Kurokawa, Masashi Imai, "Peak Current Reduction Method of Digital Bandpass Filter using Asynchronous MOUSETRAP Pipeline Circuits," 平成27年度電気関係学会東北支部連合大会, IEEE Student Session, 1A09, Aug., 2015
  • Kaoru Furumi, Masaaki Fukase, Masashi Imai, Yuuki Miura, Nanako Niioka, Atsushi Kurokawa, "Thermal Analysis with Varying Physical Parameters in 3D ICs," 電気学会 電子・情報・システム部門大会, Aug., 2015
  • 齋藤寛, 米田友洋, 今井雅, "Duplication with Temporary Triple Modular Redundancy and Reconfigurationのためのタスク割り当て手法," DAシンポジウム2015, Aug., 2015
  • 今井雅, 米田友洋, "DTTR方式による高信頼メニコアシステムの性能評価", FTC研究会, Jul., 2015
  • 新岡七奈子, 深瀬政秋, 今井雅, 古見薫, 三浦祐輝, 黒川敦, "三次元集積回路の伝搬遅延とクロストークノイズのモデリング," 第28回 回路とシステムワークショップ, 淡路島, Aug., 2015
  • 豊嶋太樹, 寺山恭平, 黒川敦, 今井雅, "ラッチを用いた非同期式パイプライン回路の機能テストに関する一検討," 電子情報通信学会技術研究報告 DC2015-19, pp.19-24, Jun., 2015
  • 今井雅, 米田友洋, "マルチコアシステムにおける信頼度向上手法のマルコフモデルによる性能評価," 電子情報通信学会技術研究報告 DC2015-20, pp.25-30, Jun., 2015
  • Kyohei Terayama, Atsushi Kurokawa, Masashi Imai, "Scan test of latch-based asynchronous pipeline circuits under 2-phase handshaking protocol," Proc. SASIMI15, pp.128-133, Mar. 2015.
  • 小林徹哉, 今井雅, 深瀬政秋, 新岡七奈子, 黒川敦, "ウィンドウベースL逆行列によるTSV間容量抽出," 電子情報通信学会 総合大会 講演論文集, Mar., 2015
  • 星誠, 深瀬政秋, 今井雅, 黒川敦, "高効率遅延セルの実測による性能評価," 電子情報通信学会 総合大会 講演論文集, Mar., 2015
  • Masayuki Watanabe, Nanako Niioka, Tetsuya Kobayashi, Rosely Karel, Masa-aki Fukase, Masashi Imai, and Atsushi Kurokawa, "An effective model for evaluating vertical propagation delay in TSV-based 3-D ICs," Proc. ISQED15, Mar., 2015

2014年

  • Masashi Imai, Tomohiro Yoneda, "Energy-and-Performance Efficient Differential Domino Logic Cell Libraries for QDI-model-based Asynchronous Circuits," Proc. APCCAS2014, pp.687-690, Nov., 2014
  • Masayuki Watanabe, Rosely Karel, Nanako Niioka, Tetsuya Kobayashi, Masa-aki Fukase, Masashi Imai, Atsushi Kurokawa, "Effect of Substrate Contacts on Reducing Crosstalk Noise between TSVs," Proc. APCCAS2014, pp.763-766, Nov., 2014
  • Tomohiro Yoneda, Masashi Imai, Hiroshi Saito, Takahiro Hanyu, Kenji Kise, Yuichi Nakamura, "An NoC-based Evaluation Platform for Safety-Critical Automotive Applications," Proc. APCCAS2014, pp.679-682, Nov., 2014
  • Nanako Niioka, Masayuki Watanabe, Rosely Karel, Tetsuya Kobayashi, Masashi Imai, Masa-aki Fukase, and Atsushi Kurokawa "Impact of On-Chip Interconnects on Vertical Signal Propagation in 3D ICs," Proc. APCCAS2014, pp.607-610, Nov., 2014
  • 今井雅, 黒川敦, "高速通信非同期式回路における多入力調停回路の構成方式に関する考察," 平成26年度 電気学会 電子・情報・システム部門大会, pp.771-776, Sep., 2014
  • 寺山恭平, 今井雅, 黒川敦, "2Phaseハンドシェイクプロトコルに基づく非同期式回路のスキャンテスト," 平成26年度 電気学会 電子・情報・システム部門大会, pp.765-770, Sep., 2014
  • Rosely Karel, Masa-aki Fukase, Masashi Imai, Nanako Niioka, Tetsuya Kobayashi, and Atsushi Kurokawa, "Substrate contact effect on TSV-to-TSV coupling," Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session), p.1A04, Aug., 2014.
  • Nanako Niioka, Masashi Imai, Masa-aki Fukase, Rosely Karel, Tetsuya Kobayashi, and Atsushi Kurokawa, "Modeling and analysis of vertical interconnects in 3D ICs," Proc. Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers (IEEE Student Session), p.1A03, Aug., 2014.
  • 今井雅, "DDL素子を用いた非同期式細粒度パイプライン回路の論理合成用ライブラリ," IPSJ DAS2014, pp.73-78, Aug., 2014
  • 今井雅, (招待講演) "ディペンダブルVLSIシステム最前線2014," WIT2014, Jun., 2014
  • Naoya Onizawa, Akira Mochizuki, Hirokatsu Shirahama, Masashi Imai, Tomohiro Yoneda, Tadahiro Hanyu, "High-Throughput Partially Parallel Inter-chip Link Architecture for Asynchronous Multi-Chip NoCs," IEICE Trans on Inf.&Syst., Vol.E97-D, No.6, pp.1545-1556, Jun., 2014
  • Masashi Imai, Tomohiro Yoneda, "Multiple-Clock Multiple-Edge-Triggered Multiple-Bit Flip-flops for Two-Phase Handshaking Asynchronous Circuits," Proc. ISCAS2014, pp.141-144, Jun., 2014
  • 今井雅, 五十嵐大将, 工藤三四郎, "DDLセルライブラリを用いた非同期式回路設計支援環境の構築," 電子情報通信学会技術研究報告 CPSY2014-2, pp.3-8, Apr., 2014
  • 寺山恭平, 今井雅, "MOUSETRAPパイプライン回路のスキャンテスト," 2014年電子情報通信学会総合大会, 基礎・境界講演論文集, pp.49, Mar., 2014

修士論文

2024年3月
小野寺 篤志:「ひろだい白神レーダーを利用した降雪量予測システムの開発に関する研究」
佐藤 陵太:「津軽弁テキストから共通語テキストへの変換システム構築に関する研究」
2023年3月
葛西 巧朗:「ハードウェアトロイの挿入された計算機に生じる誤りの検知に関する研究」
坂本 昴:「低電圧環境に適した非同期式回路の設計に関する研究」
新井田 大輝:「津軽弁テキストと共通語テキストの双方向変換システムの構築に関する研究」
2022年3月
雄長 孝大:「高グリッチ耐性回路方式に関する研究」
2019年3月
赤坂 親一郎:「束データ非同期式回路における遅延素子およびレプリカ回路に関する研究」
稲葉 光太郎:「非同期式回路へのハードウェアトロイ挿入と検知に関する研究」
和島 純也:「多重化と多数決処理によるハードウェアトロイ無効化システムの実装に関する研究」
2018年3月
豊嶋 太樹:「ランダム遅延素子を用いた耐タンパ非同期式回路の設計に関する研究」
七尾 健:「ビザンチンフォールトトレラントシステムの構築と実用性評価に関する研究」
2017年3月
石川 達也:「非同期式回路を用いたVLSIのピーク電流抑制に関する研究」
田近 龍平:「非同期式VLSIの高信頼・低電圧化に関する研究」
2016年3月
佐藤 謙介:「ディペンダブル・ネットワーク・オンチッププラットフォームの開発に関する研究」
寺山 恭平:「ラッチベース非同期式回路のテストに関する研究」

卒業研究

2024年3月
青山 龍人:「高グリッチ耐性セルライブラリの構築に関する研究」
斎藤 遥大:「透明ディスプレイを用いた津軽弁翻訳システムの構築に関する研究」
村上 晃啓:「津軽弁音声認識の精度向上に関する研究」
八木澤 学都:「FPGAを用いたASICチップ評価システムの測定環境構築に関する研究」
2023年3月
池田 光里:「ディプロマ・サプリメント作成支援システムの実装に関する研究」
斉藤 旭晟:「極低温環境におけるFPGAの性能評価に関する研究」
竹田 健将:「ASICチップ評価システム用ドーターボードの設計と評価に関する研究」
長尾 彩可:「有償音声認識ツールを用いた津軽弁音声認識に関する研究」
2022年3月
小野寺 篤志:「ひろだい白神レーダーを利用した降雪量予測における深層学習モデルの改良に関する研究」
佐藤 陵太:「津軽弁翻訳システムの学習用データ登録保守システムに関する研究」
富川 愛菜:「高グリッチ耐性スタンダードセル設計に関する研究」
中村 水優:「オープンソース音声認識ツールを用いた津軽弁音声変換に関する研究」
2021年3月
葛西 拓朗:「ハードウェアとソフトウェアの協調によるハードウェアトロイに頑健な計算機システム」
坂本 昴:「ハザードフィルタリングによる回路のグリッチ耐性向上に関する研究」
竹達 悠介:「機械学習を用いた非同期式ハードウェアトロイ検知精度向上に関する研究」
新井田 大輝:「ひろだい白神レーダー及び深層学習を利用した降雪量の予測に関する研究」
2020年3月
稲舟 洸:「ランダムフォレストを用いた非同期式ハードウェアトロイ検出の最適化に関する研究」
雄長 孝大:「オープンソースコアを用いた非同期式回路の設計に関する検出」
賀村 俊:「多重化と多数決処理によるハードウェアトロイ無効化システムの性能向上に関する研究」
2019年3月
海野 和貴:「束データ方式非同期式回路における消費電力の詳細評価に関する研究」
鈴木 隆士:「SATソルバを用いた2点間配線問題における解の品質向上に関する研究」
眞鍋 雄太:「形態素解析ツールを用いた津軽弁の品詞分解に関する研究」
2018年3月
秋元 恭太:「ハードウェアマージソータの高性能化に関する研究」
石川 雄大:「耐ビザンチンフォールトアルゴリズムの可視化に関する研究」
浦野 晃輔:「耐停電非同期式回路設計環境の構築に関する研究」
川村 日菜子:「SATソルバを用いた2点間配線問題の解法に関する研究」
2017年3月
赤坂 親一郎:「束データ非同期式回路における遅延レプリカ回路の設計に関する研究」
市田 進也:「28nmプロセスにおける非同期式回路設計ライブラリ・支援環境の構築」
稲葉 光太郎:「非同期式回路におけるハードウェアトロイ挿入に関する研究」
田名邉 裕貴:「Webベース書類管理システムの機能拡張に関する研究」
和島 純也:「マルチコアシステムのマルコフモデルによる信頼性評価に関する研究」
2016年3月
白川 健太:「Webベース書類管理システムの拡張に関する研究」
七尾 健:「ビザンチンフォールトトレラントPCクラスタシステムの構築に関する研究」
播磨 憂:「低電力非同期式状態機械の設計に関する研究」
豊嶋 太樹:「ランダム遅延素子の設計および非同期式回路設計環境構築に関する研究」
2015年3月
石川 達也:「ピーク電流抑制型高性能バンドパスフィルタの設計に関する研究」
佐藤 誉久:「QDIモデル非同期式回路における要求-応答信号生成回路の最適化に関する研究」
田近 龍平:「低電圧における高信頼VLSIの設計に関する研究」
濱田 大輔:「CMSを用いた文書生成管理システムの開発」
2014年3月
五十嵐 大将:「高性能非同期式回路のためのセル設計に関する研究」
池野 武:「非同期式オンチップネットワークルータの最適化に関する研究」
工藤 三四郎:「非同期式回路向け論理合成用ライブラリの実装に関する研究」
寺山 恭平:「MOUSETRAPパイプライン回路のスキャンテストに関する研究」
2013年3月
佐藤 謙介:「多ビット記憶素子を用いた高性能非同期式回路設計方式」
千葉 史弥:「遅延非依存非同期式パイプラインの最適化設計に関する研究」
西川 常:「非同期式データ転送における高性能符号化方式に関する研究」
本城 宏大:「非同期式回路に適した配置配線方式に関する研究」

今井研究室

〒036-8561
青森県弘前市文京町3
弘前大学 理工学部1号館4階

アクセス

TEL 0172-39-3637
FAX 0172-39-3637